首页 > 热文 > 百科 >

中芯国际能做晶圆(中芯国际深度解析)

发布时间:2023-11-07 15:46:55来源:网络

获取报告请登录未来智库www.vzkoo.com。

1、 半导体市场空间广阔,晶圆制造是产业核心 1.1、 半导体市场螺旋上升,新技术驱动新周期

半导体产业作为现代信息产业的基础和核心产业之一,是衡量一个国家或 地区现代化程度以及综合国力的重要标志,半导体行业在过去数十年内遵循一 个螺旋式上升的过程,放缓或衰落后又会重新经历一次更强劲的复苏。纵观半 导体行业的发展历史,虽然行业呈现明显的周期性波动,但整体增长趋势并未发 生变化,而每一次技术变革是驱动行业持续增长的主要动力。这背后是科技革命 带来的成长,2019 年,全球半导体市场经历了 2001 年互联网泡沫破灭以后较 大幅度的下滑,半导体市场规模降至 4123 亿美元,下降幅度达 12%,超过了 2008 年金融危机以后 9%的下滑幅度,2020 年后在新兴领域的持续驱动下,半 导体行业有望筑底回暖,进入稳定增长期。我们认为,伴随着 5G、AI、云计算 应用的兴起,半导体行业即将迎来新的机遇,新一轮上升周期即将开启。根据 WSTS 2020 年 6 月 9 号的预测,2020 年和 2021 年全球半导体市场将分别同比 增长 3.3%和 6.2%,2021 年全球半导体市场将加速回升至 4523 亿美元。

5G 时代新应用不断涌现,将启动半导体行业新一轮的上升周期。5G 将掀 起整个行业的变革,深刻改变人们的生产和生活方式,进而推动人类社会全面进 入数字化时代。5G 可以覆盖人人、物物、人物,使所有的事物都通过网络进行 连接,满足不同行业、不同用户对通信的复杂需求。5G 时代大数据量、低时延、 传输速度快的特性为下游新应用提供了快速增长的沃土。

5G 手机、VR/AR 设备、TWS 耳机、IOT 设备已经开启了高速增长的引擎。根据 ABI research 预测,到 2025 年,AR/VR 市场将分别达到 1510/1410 亿美 元;根据中国信通院数据,尽管 2020 年新冠疫情对消费电子市场,尤其是手机销量产生较大冲击,我国 5G 手机渗透率仍在不断提升,2020 年 5 月我国 5G 手机渗透率已快速增长至 46.3%;根据亿欧/艾媒预测,2020 年 TWS 耳机将翻 倍增长至 2.3 亿只;2015-2019 年,全球新增物联网设备数不断增加,意味着全 球物联网设备数处于加速增长的状态。可以看出,半导体新一轮上行周期的大门 已经打开,新应用、新场景的不断出现将持续输出动能。

1.2、 晶圆制造环节壁垒高,市场前景空间广阔

半导体产业链环节众多,专业分工程度高,制造是产业链核心环节。半导 体产业链上下游包括三大环节:IC 设计、晶圆制造加工以及封装测试、应用。 其中,IC 设计是指 IC 设计公司根据产品需求、产品功能设计芯片,并把它委托 给晶圆代工厂进行生产加工;晶圆制造购买原材料通过提纯、制造晶棒、晶片分 片、抛光、光刻等多道程序将设计好的电路图移植到晶圆上;完成后的经营再送 往下游封测厂进行封装测试最后移交给下游厂商,半导体产业往往由技术驱动催 生出新的下游应用。

晶圆制造是半导体产业链上资金门槛最高的环节。集成电路越先进,制造 技术和工艺就越加难,所需设备也越加贵重。以刻蚀环节为例,20nm 工艺所需 要的全工序步骤约 1000 道,刻蚀步骤大约 55 道,而 7nm 所需要的工序为 1500 道,刻蚀步骤增加至 150 道,工序的大幅增加意味着更多的制造设备。根据 IBS 数据,一条 5 万片/月产能的产线,在 28nm 节点地设备投资额约为 39.5 亿美元, 而同样产能地 7nm 产线则要花 114.5 亿美元。另外,TEL 估计每月 10 万片产能 的工厂,以 1y nm DRAM 与 9XL NAND 等级的工厂要 70 亿美元,如果是逻辑 芯片则是 180 亿美元,存储器工厂中,15 亿美元是基础建设,49 亿美元用于前 端工程设备,后端工程则是 7 亿美元。至于逻辑芯片厂的 180 亿美元中,有 21 亿美元用于基础建设,前端工程需要 144 亿美元,后端工程则是 14 亿美元。

晶圆制造市场空间广阔,2019 年我国市场逆势增长。晶圆制造分为 IDM 模 式和 Foundry(代工)模式,以晶圆代工市场为例,根据 IC Insights 数据, 2014-2019 年,全球晶圆代工产值稳步提升,从 427 亿美元增长至 568.75 亿美 元,CAGR 达 5.90%,2019 年世界集成电路纯晶圆代工市场规模较 2018 年纯 晶圆代工市场规模收入同比下降 2.18%,只有中国大陆地区实现增长,同比增 长 5.87%,欧洲和日本的晶圆代工市场均出现两位数的下滑。根据拓墣产业研 究院在 2020 年 4 月的预测,2020 年全年晶圆代工增长率约为 6.8%,据此推算 2020 年全球晶圆代工总产值为 607.43 亿美元。

1.3、 Fabless Foundry 分工模式正迎来黄金时代

集成电路制造行业分为 IDM 模式和 Foundry 模式,大趋势是从整合走向垂 直分工,Foundry 正迎来黄金时代。IDM(垂直整合模式)是指集成电路企业 除了开展设计业务,还拥有产业链下游的晶圆制造厂、封装测试厂,采用 IDM 模式主要代表企业为 Samsung、Intel 等,主要涉及逻辑芯片、存储器等产品, 也有像 TI、STM 这样的模拟芯片厂商。Foundry(晶圆代工)是集成电路行业 中芯片代工厂的简称。20 世纪 80 年代集成电路行业厂商大多以 IDM 模式为主,随着行业市场的不断细分,到 20 世纪 90 年代初集成电路行业逐步向轻资产、 专业性更强的 Fabless 经营模式转变,从 20 世纪 90 年代开始,台湾集成电路 行业出现了垂直分工模式,即每一家公司都只负责半导体产业链中游的某一个环 节:例如负责设计的企业不再拥有自己的生产线,这类企业专注于半导体产品的 版图设计,这类企业被业界称为 fabless 厂商;而负责制造的企业被称为 Foundry, Foundry 只做晶圆代工;Foundry 厂完成 IC 产品的加工后,便将其输送给下游 独立的封装公司和测试公司(Assembly & Test)。目前,世界上著名的 Foundry 厂商有台积电、格罗方德、台联电、中芯国际等。

Foundry 专注晶圆代工,相比 IDM 具有制程迭代速度快、客户更多元的优 势。由于制造环节的重资产属性,并且更新换代迅速,导致 IDM 厂商很难跟上 迅速发展的 IC 产品迭代,IDM 多集中在对先进制程不太敏感的模拟芯片或存储 器等产品,对于 Foundry 来说,由于长期专注于晶圆代工业务,给自己的定位 明确,并能持之以恒,另外这种商业模式的多客户、多产品线、多制程特点,比 IDM 和 Fabless 更加厚重且多元,某种程度上,其抗风险能力更强。

Fabless Foundry 的分工模式的主要驱动力为三点:一是芯片元器件用量 提升,二是 IDM 芯片制造外包业务增加,三是设备和互联网厂商自研芯片增加。

5G、物联网时代终端设备芯片需求量快速提升。芯片元器件用量提升方面 最直观的感受就是 CIS(CMOS 图像传感器)需求的暴增,由于手机摄像头的 数量呈翻倍态势,使得 CIS 需求旺盛,行业陷入了 CIS 产能危机,给多家晶圆 代工厂带来了商机;除此之外,5G 落地大幅提升了手机射频模组需求,由于 5G 手机需要支持的频段数量正在增加,预计单机滤波器将从 40 个增加至 70 个, 射频开关从 10 个增加至 30 个,PA 从 4G 时期的 6-7 个增加至 15 个;TWS 耳 机市场强劲增长同样为终端芯片带来强劲需求,随着 TWS 产品技术的成熟和成 本的下降,有望成为手机标配,将促使 TWS 出货量大幅提升,2020 年 TWS 整 体出货量有望突破 2 亿,这将进一步推升市场对 TWS 蓝牙芯片的需求量;物联 网方面,相关的传感器、MCU、存储器、电源 IC、射频器件等需求量非常大, 一般单个物联网连接对应 1-2 个无线通信模块,物联网百亿级别的连接数,对无 线通信模块的需求空间较大。以上这些芯片的增量,大都需求晶圆代工厂消化。

优秀 Fabless 厂商的崛起倒逼 IDM 企业芯片制造外包增加:IDM 的大部分 芯片元器件都是在自家工厂制造并封装的,但在过去的 10 年里,这种情况一直 在发生变化,特别是模拟或模数混合类芯片,IDM 外包给晶圆代工厂的数量和 比例逐年增加。传感器方面:如近期索尼将部分 CIS 外包给台积电,模拟芯片 方面:意法半导体(STM)、英飞凌在化合物半导体方面正在与台积电需求更紧 密的合作。近年来,逼迫国际 IDM 大厂调整产能策略的一个重要因素,是大量 的 Fabless 公司轻装上阵,并结合了晶圆代工公司的制造优势,对 IDM 大厂形 成了威胁,这一点在逻辑芯片的竞争上体现得尤为明显,台积电工艺制程大幅领 先,而 Intel 高端制程持续难产,Fabless 厂商 AMD 的 PC 端 CPU 性能已经超 过 IDM 龙头 Intel;在智能手机行业,苹果 A 系列、华为麒麟和高通骁龙芯片均 为 Fabless 模式,而三星自研自产的 Exynos 系列已经在竞争中逐渐退出高端市 场的舞台,2019 年,高通、联发科、苹果、海思手机处理器份额合计达 82.8%, 而三星处理器份额仅为 14.1%,反差明显,诸多 IDM 厂商一方面降低产能投资, 另一方面将资源投入在提升 IC 设计部门的竞争力方面。

设备和互联网厂商自研芯片增加对 Foundry 的需求:近些年,产业链下游 的设备和互联网厂商自研芯片的案例越来越多,而这些创新的芯片也都主要交由 晶圆代工厂生产,从而为未来几年的芯片代工业增添了更多的营收增长点。以谷 歌、亚马逊、微软和阿里巴巴为代表的大型互联网和云服务提供商,无论是在云 端,还是在边缘侧,都在寻找并替换着传统的 CPU 或 GPU,在中国,百度、阿 里和腾讯都已经开始自研芯片,且已有或者即将洽谈晶圆代工合作伙伴。

1.4、 技术趋势:5G 时代先进制程与成熟制程齐头并进

1.4.1、 先进制程迭代速度放缓,追赶者与龙头企业差距缩小

集成电路技术进入后摩尔时代,先进制程迭代速度放缓。制程方面,摩尔 定律认为集成电路上可容纳的元器件的数目,约每隔 18-24 个月便会增加一倍, 性能也将提升一倍。这也引导芯片的制程不断发展,从 1987 年以来的 1um 到 2015 的 14nm 都是符合摩尔定律发展的。但 2015 年 10nm 以下,制程发展乏 力,7nm 和 5nm 先进制程量产进度已推迟很久,制程发展进入后摩尔时代。虽 然现在先进制程已进入 10nm 及以下,但是资本开支庞大。据 Gartner 统计, 16nm/14nm 芯片的平均 IC 设计成本约为 8000 万美元,而 28nm 体硅制程器件 约为 3000 万美元,设计 7nm 芯片则需要 2.71 亿美元。因此对于没有庞大资金 支持的非一线阵营厂商,工艺完善的成熟制程为主流选择。

先进制程(16/14nm 及以下制程)目前主要晶圆制造业者包括台积电、三 星、英特尔、格芯、联电、中芯国际等 6 家。其中 5 家晶圆代工业者仍有持续 扩建产能的为台积电、三星与中芯国际,联电与格芯主要以填补产能利用率为主要目标。其中台积电的 7nm 产能已满,而三星则聚焦于 5nm 工艺。格罗方德放 弃 7nm 以下先进制程研发,台联电放弃 12nm 以下先进制程投资。目前,中芯 国际在 14nm 甚至 7nm 有所布局,在 2019 年底实现 14nm 的实际营收后,在 2021 年将有大量的量产计划。我们认为,在后摩尔定律时代,晶圆制程迭代速 度放缓给了以中芯国际为代表的先进制程追赶者更多的时间空间进行追赶,假 设制程工艺路线保持稳定,追赶者与龙头企业的差距将越来越小。

先进制程的玩家屈指可数,10nm 以下的最先进制程目前仅台积电、三星、 英特尔有能力研发。如下表所示,在先进制程的追逐上留下的玩家越来越少,模 拟芯片龙头 TI 德州仪器的制程停留在了 45/40nm 节点,MEMS 传感器龙头 STM 意法半导体停留在了 32/28nm 的成熟节点,存储巨头 Toshiba 东芝的最先进节 点也停留在了 32/28nm;在先进制程节点领域,大多都为以台积电、格罗方德 为代表的纯晶圆代工厂或者以英特尔、三星为代表的逻辑芯片 IDM 巨头。在 10nm 以下节点,目前只有台积电、三星、英特尔有能力研发。

当前最先进制程市场的客户屈指可数,表现积极的主要是实力、财力雄厚 的逻辑芯片设计大厂商。 7nm 和 7nm EUV 产品以手机处理器和 GPU 芯片为 主,主要是针对 2020 旗舰级的智能手机,例如小米 10 和三星 S20 系列搭载的 骁龙 865 系列芯片。6nm 产品主要是针对高性能计算机芯片、GPU 和可能的智 能手机处理器。而在最先进的 5nm 产品上,主要是针对 5G 背景下的一些 AI 处 理器芯片,AI 芯片对于算力的要求远大于传统芯片,主要是头部智能手机厂商 和手机处理器厂商。

1.4.2、 成熟制程领域特色工艺百花齐放,后续发展动力充沛

成熟制程的主要下游是存储芯片、模拟芯片以及传感器,百花齐放的特色 工艺是成熟制程领域的核心竞争力。混合讯号、高电压、射频、微机电系统(MEMS) 等制程技术,都可归类在成熟制程的大伞之下,应用产品则有各种感测器、微控 制器(MCU)、电源管理( PMIC)、讯号收发器(Tranceiver)等。云计算、CIS 传感 器、5G 射频器件需求的快速增长为成熟制程提供强劲动力。在这个相对分众化 的市场,有许多个别领域存在着小而美,拥有独到技术的晶圆代工业者。例如在 射频 PA 代工领域,稳懋就是一个不容小看的市场领导者,甚至被认为有机会成 为化合物半导体的台积电;至于在 MEMS、混合讯号领域,则有 X-Fab、 TowerJazz 等同样拥有独门技术跟明确市场定位的代工业者。

采用成熟制程的半导体传感器/执行器产品市场快速崛起。根据 Yole 预测, 随着 5G、IOT 时代的到来,采用成熟制程的诸多传感器市场将迎来快速成长期, 到 2023 年,射频器件的市场空间将达到 225 亿美元,成为传感器/执行器市场 单一大市场,作为手机光学创新核心元器件的 CIS 传感器市值将达到 215 亿美 元,仅次于射频器件,得益于自动驾驶技术、人脸识别等应用的快速发展,应用 于空间深度感知的 3D 传感器将增长至 140 亿美元。

28nm 及以上节点市场需求依旧旺盛,2019 年全球半导体市场 28nm 及以 上制程占据 60%的营收。14nm 及以下制程的成本较高,制约其市场扩展速度。 当尺寸从 28nm 缩小到 22/20nm 时,必须采用辅助的两次图形曝光技术,制程 成本将提高 1.5 至 2 倍左右。16/14nm 制程成本将更高,这意味着发展先进制 程不再具有成本优势。虽然国际上先进制程的制造市场已进入 7nm,但从市场 需求量上来看,目前仍以 28nm 制程市场需求量占比最高。根据 IBS 研究成果, 28nm 为目前单位逻辑闸成本最小的技术节点,长周期制程属性明显,预计大多 数产品将逐步向更先进制程迁移,达到 28nm 后因其更高性价比及广泛的应用领域将停留较长时间。根据 IBS 数据统计,28nm 及以上制程仍占据 2019 年 60% 左右的营收。28nm 工艺在频率调节、功耗控制、散热管理和尺寸压缩方面具有 显著的优势。IBS 预计 28nm 将成为各二线厂商未来 4 年之内的主流制程。

1.5、 半导体产业持续东移,晶圆代工国产替代空间大

2019 年我国半导体市场下滑幅度低于全球下降幅度,半导体产业链东移趋 势仍在持续。2014-2019 年,中国半导体市场规模从 917 亿美元增长至 1441 亿 美元,五年复合增长率达 9.46%,2019年中国半导体市场占全球比例达到35%。 截至 2018 年,中国半导体始终保持快速增长的趋势,2019 年,受全球宏观环 境及产业趋势影响,全球半导体市场同比大幅下滑 12 %,中国市场同样遭受影 响,同比 2018 年下滑 8.74%,下滑幅度小于全球整体下滑幅度,原因为全球半 导体产业链向中国大陆转移的趋势仍在持续,2015-2019 年,中国半导体市场再 全球市场的占比持续提升,由 2014 年的 27%提升至 2019 年 35%,年均提升 1.6 个百分点。全球半导体重心向中国转移的趋势确立,中国将通过承接第三次 半导体产业重心的转移,实现本土半导体产业链迅速发展成长。

我国集成电路自给率较低,进口替代是中长期内产业主要逻辑。从供给端来看,对比国内广阔的市场需求,国产半导体集成电路市场规模较小,2018 年 自给率约为 15%。从需求端分析,随着经济的不断发展,中国已成为全球占比 最高的电子产品生产及消费市场,半导体器件需求广阔,根据 IC Insights 统计, 从 2013 年到 2018 年中国半导体集成电路市场规模就从 820 亿美元扩大至 1550 亿美元,年均复合增长率约为 13.58%。根据海关总署数据显示,2019 年 我国集成电路进口额已达 3050 亿美元,出口额 1017 亿美元,集成电路贸易逆 差为 2033 亿美元,国产替代空间之大。

半导体产能逐渐转向中国大陆,晶圆厂项目将迎来大规模投建期。目前全 球主要的晶圆厂产能主要分布在美国、韩国、日本、台湾和大陆,由于海外巨头 在中国大陆有不少产线,大陆本土公司拥有的晶圆厂占全球产能不到 2%。 中 国大陆 2017-2020 年拟新建晶圆厂占全球的 42%,根据 SEMI 所发布的近两年 全球晶圆厂预测,2017 年到 2020 年的四年间,大陆将有 26 座新晶圆厂投产, 成为全球新建晶圆厂最积极的地区,整个投资计划占全球新建晶圆厂的 42%, 成为全球新建投资开支最多的地区。

晶圆制造历来是我国半导体产业链上的薄弱环节,改善空间仍旧较大,国 内 Fabless 厂商的崛起将为晶圆制造带来海量国产化需求。根据中国半导体行 业协会统计,2019 年中国集成电路产业销售额为 7562.3 亿元,同比增长 15.78%。 其中,设计业同比增长 21.60%,销售额为 3063.5 亿元;制造业同比增长 18.20%, 销售额为 2149.1 亿元;封装测试业同比增长 7.10%,销售额为 2349.7 亿元。 集成电路制造业占整个集成电路产业的比例不断提升。以 2018 年为例,2018 年全球半导体产业结构中,制造环节占比达到 58%,而封装环节占比最小仅为 16%,而国内半导体产业链结构较全球存在较大的差异,其中设计占比 38%, 制造环节占比 28%,封测环节占比 34%。这也和国内现在集成电路产业现状是 对应的,在技术壁垒较高和资本支出较大制造环节落后较多,在技术较为成熟的封装环节发展较好。而制造环节差距较大,同时也是最具成长空间的环节。

2019 年我国晶圆代工市场国产替代空间达到约 90 亿美元,国产替代空间 大。2019 年,我国两大半导体晶圆代工企业中芯国际和华虹半导体的营收分别 为 31.16/9.46 亿美元,其中来源于中国大陆的营收分别为 18.51/5.46 亿美元, 合计 23.97 亿美元(因影响较小暂时忽略不计其余国内纯晶圆代工厂),而根据 IC Insights 数据,2019 年全球纯晶圆代工厂营收中,来自中国大陆的营收为 113.57 亿美元。 因 此, 若 完 全 实 现 国产 化, 2019 年 国 产 替代 空间 为 113.57-23.97=89.6 亿美元。

2、 晶圆代工格局:一超多强,台积电一家独大(略) 前五大晶圆制造厂产能合计占比接近 50%,其中,仅台积电为纯晶圆代工 厂。从晶圆制造产能来看,由于存储器在全球半导体市场中占比最高,而存储芯 片厂商多为 IDM 厂商,因此全球晶圆制造产能也主要集中在存储大厂,根据 IC Insights 数据,以 8 英寸晶圆计,全球晶圆产能为 1957 万片/月,三星以月产能 293.5 万片位列晶圆产能第一位,占全球产能 15%,台积电则以 250.5 万片居第 二,在前五大晶圆产能只有台积电是纯晶圆代工厂商。前五大晶圆产能占全球晶 圆总产能的 53%,相较于 10 年前的 36%大幅成长,美光、SK 海力士和铠侠均 为储存器厂商。

中国台湾是晶圆代工领域的霸主,随后为韩国、中国大陆。受到韩国三星 拆分晶圆代工事业部影响,台湾地区的区域占比从 2016 年的 67%快速下滑至 2018 年的 60%,但在 2019 年回升至 62%,中国大陆占比始终在 10%以下。

台积电是全球晶圆代工领域龙头,行业格局相对稳定。全球晶圆代工格局 近些年十分稳固,2020 年排名前十的格局和 2017 年相比没有明显变化,除了 三星从 2017 年的第四上升至了 2020 年的第二,市占率提升较大,主要原因为 三星集团将晶圆代工业务分拆独立出来后造成的影响,抛开这个三星拆分的影响, 全球晶圆代工市场稳如磐石。

晶圆代工行业龙头企业分走行业大部分利润。从晶圆代工行业可比上市公 司经营情况来看,除龙头台积电外,其余业者的平均毛利率在 20%左右,而龙 头企业台积电毛利率稳定在 50%左右,大幅高于行业平均水平,加之台积电在 晶圆代工领域份额超过 50%,可以推测其在晶圆代工行业的净利润份额远大于 50%。

2.1、 台积电:制程领先,全球晶圆代工领头羊(略)

2.2、 三星电子:台积电在最先进制程的挑战者(略)

2.3、 格芯:向特色化、差异化工艺迈进(略)

2.4、 联电:以退为进、精益求精(略)

3、 中芯国际:中国晶圆制造龙头,半导体国产替代 先锋 3.1、 国内晶圆代工龙头,业绩规模稳步增长

中芯国际是全球第五大、中国大陆最领先的集成电路晶圆代工企业。公司 于 2000 年 4 月成立与中国上海,自成立以来,公司一直专注于晶圆代工业务。 公司是中国大陆晶圆代工龙头,作为中国大陆规模最具优势、技术最先进、配套 服务最完善的专业晶圆代工企业,主要为客户提供 0.35um 至 14nm 多种技术节 点、不同工艺平台的集成电路晶圆代工及配套服务。

公司为客户提供一站式晶圆代工解决方案。公司除了提供高端晶圆制造服 务,还提供包括光罩制造、IP 研发及后段辅助设计服务等一站式服务(包含凸块 加工服务、晶圆探测,以及最终的封装、测试等),目标是更有效的帮助客户降 低成本,以缩短产品上市时间。

公司在逻辑工艺和特色工艺上具有完整技术布局。公司成功开发了 0.35um 至 14nm 多种技术节点,应用于不同工艺平台,具备逻辑电路、电源/模拟、高 压驱动、嵌入式非挥发性存储、非易失性存储、混合信号/射频、图像传感器等 多个工艺平台的量产能力,可为客户提供通讯产品、消费品、汽车、工业、计算 机等不同领域的集成电路晶圆代及配套服务。

公司营收规模稳步增长,归母净利润受产线折旧影响波动较大。公司 2019 年实现营业收入为 31.2 亿美元, 2018 年为 33.6 亿美元,主要原因是 2018 年 包括了意大利 LFoundry 的收入,2019 年出售 Lfoundry 后,去除一次性许可证 收入和 LFoundry 的影响,2019 年的收入与 2018 年相比还略有增加。2019 年归母净利润为 2.35 亿美元,2018 年为 1.34 亿美元,归母净利润的波动原因主 要为产线折旧的影响。EBITDA 在 2019 年达到了创纪录的 13.7 亿美元,而 2018 年为 11.6 亿美元。

毛利率处于行业平均水平。台积电作为全球技术水平和工艺节点最先进的龙 头集成电路晶圆代工厂商,在晶圆代工市场占据绝对主导地位,拥有先发优势及 规模效应,毛利率显著高于其他公司,2019 年剔除台积电计算的行业平均毛利 率为 21.53%,公司 2019 年毛利率为 20.83%,与行业平均处于同一水平。

成熟制程是公司主要收入来源,14nm 先进制程已经开始贡献收入。根据最 新 2020 年第一季度财报,0.15/0.18um、55/65nm 和 40/45nm 分别贡献了公司 33.4%、32.6%和 14.9%的营收,合计占到 20Q1 营收规模的 80.9%,成熟制程 是中芯国际营收主要来源,28nm 制程贡献了营收的 6.5%,占比小幅提升,公 司 14nm 制程已于 19Q4 实现销售收入,公司从 2015 年开始研发 14nm,目前 良品率已经达到 95%,2019 年底的时候 14nm 产能达到 3K 晶圆/月。自 19Q2 开始进入客户导入环节后,在 2019Q4 财报里,14nm 第一次实现了营收 768.9 万美元,占比达 1%,主要是低端处理器、以及消费类芯片的一些订单,未来将 面向高端消费类,高性能计算,人工智能和汽车电子等产品,能满足国内 90% 的芯片制造需求,并在 2020 年及 2021 将有大量的扩产计划。

按收入地区来看,中国大陆营收占比不断提高,2020Q1 已达 60%以上。 按下游应用来看,通讯和消费电子是公司最主要代工产品应用领域。公司自 2018 年开始,中国大陆营收占比显著提高,2019 年受国际形势影响,部分国内大型 芯片设计公司转单国内,而中芯国际作为国内晶圆代工龙头承接了大量订单, 2019 年第四季度中国大陆的营收达占比达 65.1%,较 2019 年第一季度 53.9% 的占比大幅提高。2020Q1 公司在中国大陆营收占比亦超过 60%,达到 61.6%。 分产品类别来看,2019 年通讯类产品比重进一步提高,通讯类占营收比例在 46%, 较 2018 年的 41%上升 5pcts。消费电子较 2018 年的 34%没有变化。计算机、 汽车/工业、其他占比较小,2020Q1 通讯和消费电子合计占到公司营收的 84.3%。

公司预计 2020 年资本支出破纪录达 31 亿美元。2015-2019 年,公司资本 开支分别为 12.69/28.43/23.31/18.32/18.82 亿美元,根据公司公告,2020 年资 本开支将破纪录地达到 31 亿美元,将主要用于建造中芯南方 12 英寸晶圆厂的 设备和设施,其中 20 亿美元将用于先进制程晶圆厂设施和设备,其余部分用于 现有产能的扩张支出,同时 ASML 光刻机购买情况未明,也给公司未来资本开 支添加未知数。

3.2、 公司核心管理团队强大,研发实力出众

公司通过多年集成电路研发实践,组建了高素质的核心管理团队和专业化 的核心研发团队。研发团队是公司保持及进一步提升技术实力的坚实基础,公司 研发团队核心成员由境内外资深专家组成,拥有在行业内多年的研发管理经验。 公司联合首席执行官梁孟松曾任台积电研发处处长,是中芯国际从 28nm 跳跃至 14nm 的关键人物,技术研发执行副总裁周梅生、独立非执行董事杨光磊均曾是 台积电核心技术人物。公司核心技术实力强大,有望实现快速崛起。

重视研发投入,研发支出持续提升。截至 2019 年 12 月 31 日,公司共 有员工 15,795 人,其中研发人员 2,530 人,占比达到 16.02%。公司研发支 出保持较高占比,2014 年以来公司的营收从 18 亿美元增长到 33 亿美元,同期 研发支出增速大于营收增速,从 1.9 亿美元到 5.6 亿美元,占营收比重从 9%提 高到 16.5%。

在技术节点上全力冲刺 14nm 研发。公司 14nm 处于加速研发突破状态, 研发费用有望进一步提高,主要用以 14nm 及以下制程研发,2019 年,公司研 发费用的 70%用在了 14nm 工艺节点的研发上。

专利储备丰富是公司持续竞争力的保障。知识产权是公司在集成电路行业内 保持自身竞争力的关键。截至 2019 年底,登记在公司及其控股子公司名下的与 生产经营相关的主要专利共 8122 件,其中境内专利 6527 件,包括发明专利 5,965 件;境外专利 1,595 件,此外公司还拥有集成电路布图设计 94 件。

3.3、 14nm 制程量产,持续研发更先进制程工艺

公司在逻辑电路制造领域是中国大陆技术最先进、覆盖技术节点最广的晶 圆代工企业。在逻辑电路制造领域,公司成功开发了 0.35/0.25um、0.18/0.15um、 0.13/0.11um、90nm、65/55nm、45/40nm、28nm 及 14nm 等多种技术节点。 在先进逻辑工艺领域,公司是中国大陆第一家提供国际领先的 14nm 技术节点的 晶圆代工企业。在先进制程节点,目前,公司第一代 14nmFinFET 技术已进入 量产阶段,第二代 FinFET 技术已进入客户导入阶段。利用公司先进 FinFET 技 术在晶圆上所制成的芯片已被广泛地应用于智能手机、平板电脑、机顶盒等领域。 在成熟逻辑工艺领域,公司是中国大陆第一家提供 0.18/0.15um、0.13/0.11um、 90nm、65/55nm、45/40nm 和 28nm 技术节点的晶圆代工企业。目前,公司的 28nm 工艺是业界主流技术,包含传统的多晶硅和后栅极的高介电常数金属栅极 制程;公司的 45/40nm、65/55nm 和 90nm 工艺实现了高性能和低功耗的融合; 公司的 0.13/0.11um 和 0.18/0.15um 工艺分别实现了全铜制程和铝制程,在满足 高性能的同时有效控制成本。公司利用成熟逻辑工艺技术平台所制成的芯片产品 已被广泛地应用于处理器、移动基带、无线互联芯片、数字电视、机顶盒、智能 卡、消费性产品等诸多领域。

公司开发的多种特色工艺平台均已达到行业先进水平。除逻辑电路制造外, 中芯国际成功开发了电源/模拟、高压驱动、嵌入式非挥发性存储、非易失性存 储、混合信号/射频、图像传感器等多种特色工艺平台。其中,电源/模拟技术基 于现有的低功耗逻辑工艺平台可提供模块架构,为模拟和电源应用提供优越的性 能;高压驱动技术平台涵盖 0.15um、55nm、40nm 等技术节点,提供了中压和 高压器件,优化高压显示驱动芯片 SRAM 单元;嵌入式非挥发性存储技术平台 涵盖 0.35um 至 40nm 技术节点,具有低功耗、耐久性突出的特点;非易失性存 储技术平台涵盖 24nm、38nm 以及 65nm 至 0.18um 技术节点。公司利用特色 工艺技术平台所制成的芯片产品已被广泛地应用于电源管理、汽车和工业、通信 和消费电子等诸多领域。

公司继续追赶最先进制程。格罗方德于 2018 年 8 月宣布将无限期地暂停 7nmLP 工艺的开发,以便将资源转移到更加专业的 14nm 和 12nmFinFET 节点 的持续开发上。台联电也于 2018 年 8 月宣布了放弃 12nm 以下先进制程投资, 而是专注于成熟工艺的改良并提升投资回报率。公司暂时没有 10nm 以下的制程, 但是基于 14nm 积极开发 N 1(对应 10nm)代产品,N 1 相较于 14nm 性能提 高了 20%,功耗降低了 57%,逻辑面积降低了 63%,SoC 面积降低了 55%。 N 2(对应 7nm)工艺将注重于成本的控制。

公司与行业龙头台积电在制程上的差距越来越小,公司有望成长为全球纯 晶圆代工厂商第二。与主要竞争对手相比,公司与台积电在 28nm 上差了 4 年, 在 14nm 上差了 4 年,公司与格罗方德在 28nm 上差了 3 年,在 14nm 上差了 4 年,公司与台联电在 28nm 上差了 2 年,在 14nm 上差了 2 年。公司与台联电 的技术差距最小。随着台联电与格罗方德止步于 14nm,长期来看,公司有望超 越台联电与格罗方德,跃居纯晶圆代工厂商第二。

我们认为,公司在成熟制程领域不断深耕特色工艺,将受益 IOT 时代传感 器、模拟电路需求的扩张;先进制程领域,28nm 制程营收占比已开始逐步回升, 而 14nm 以下市场玩家屈指可数,竞争格局更好,随着 5G 时代对高性能运算需 求的提升,市场空间不断扩大,公司 14nm 业务占比有望快速提升。2017-2019 年公司先进制程的 28nm 收入金额呈下降趋势,主要原因为 28nm 全球纯晶圆代工厂商的产能布局较多,造成 2018 和 2019 年度全球 28nm 市场产能过剩。目 前台积电为 28nm 主要代工厂,工艺优势更明显,公司 28nm 的扩张之路并不顺 利,在 2018 年第二季度达到营收 8.6%的高点后,此后一度出现衰退,至 2019 年第一季度达到最低点,贡献营收 3.0%,此后缓慢回升,至 2020 年第一季度, 贡献营收 6.5%。公司出于市场经营策略和客户需求考虑,在满足订单需求的前 提下,优化产品组合,将部分原用于 28 纳米制程的通用设备转用于生产盈利较 高的其他制程产品,使得 28 纳米制程产品的收入呈现下降趋势。

公司产能规模不断扩张,目前运营 7 条生产线,其中 4 条为 12 英寸产线, 3 条为 8 英寸产线。公司 12 英寸晶圆厂主要面向逻辑电路、混合电路和射频器 件等制程要求较高的产品。而 8 英寸主要面向一些特殊工艺,产品线包括电源管 理、指纹识别和图像传感器等。其中位于上海的中芯南方两座晶圆厂主要面向最 先进制程 14/12/7nm,承担中芯国际制程突破的任务。其中,中芯南方成立于 2016 年 12 月,系先进技术及制程产线的运营主体,提供 14nmFinFET 及以下 的技术工艺。根据公司公告,到 2020 年底 14nm 产能将达到 1.5 万片/月。

公司产能及利用率稳步爬升,目前已接近饱和,产能扩张需求旺盛。现有 产能 8 英寸晶圆 257K/M 和 12 英寸晶圆 93K/M 的产能,折合 46.7 万片 8 英寸 晶圆每月,8 英寸产能和 12 英寸产能基本五五开,位列大陆地区晶圆代工厂产 能榜首。公司自 18Q2 以来,受益于下游需求的景气度及国产厂商的转单效应, 产能利用率处于高位,公司 20Q1 的产能利用率高达 98.5%,几乎处于满载状态。

3.4、 下游客户资源丰富,华为、高通、博通均为客户

公司下游客户优质,前五大客户集中度呈下降趋势。公司深耕晶圆代工领 域多年,客户资源优质,国内大客户有华为海思、兆易创新、紫光展锐、北京豪 威等存储、IC 设计大厂,海外大客户有高通、博通为首的龙头企业。2017-2019 年,公司向前五名客户合计销售额分别为 1079016.69 万元、1040714.70 万元 和 951422.90万元;占当期销售总额的比例分别为50.45%、45.22%及 43.21%, 占比逐年降低。其中,2017 年度前三名客户销售额占比分别为 20.14%、17.02% 及 6.54%,2018 年度前两名客户销售额占比分别为 16.97%及 15.38%,2019 年度前两名客户销售额占比分别为 18.48%及 12.44%。发行人不存在向单个客 户销售比例超过发行人当年销售总额 50%或严重依赖少数客户的情况。

我国 Fabless 企业迅速崛起,公司将充分受益。受益于国内终端市场的多 样化需求以及设计轻资产的模式,大量设计企业涌入这一领域,使得国内的芯片 设计业增速远高于制造。据统计,我国目前有 1380 多家芯片设计企业,预计到 2020 年,我国的芯片设计业市场规模将会达到 3900 亿元,位居世界第二。目 前华为海思、紫光展锐、中国华大等 Fabless 厂正在快速崛起,2017 年我国位 列全球 TOP50 的 Fabless 已由 2009 年的 1 家增到 10 家,预计未来我国芯片设 计仍将以高于 20%增速增长,为我国晶圆代工行业发展带来重大机遇,公司作 为中国大陆规模最具优势、技术最先进的集成电路晶圆代工企业,依靠卓越的研 发制造能力、完善的配套服务能力、深耕市场的实践经验,形成明显的品牌效应,获得了良好的行业认知度,拥有了境内外广泛的客户积累优势,树立了境内外领 先的行业地位,将在中长期内享受本土 Fabless 客户快速增长带来的红利。

3.5、 科创板上市募投扩产先进产线,未来成长可期

公司回归科创板上市募投金额达 200 亿元,将主要用于研发和扩产。公司 本次募投项目主要包括:“12 英寸芯片 SN1 项目”与“先进及成熟工艺研发项 目储备资金”。其中,“12 英寸芯片 SN1 项目”是中国大陆第一条 14nm 及以下 先进工艺生产线,本次募集资金将用于工艺技术水平为 14nm 及以下工艺的产线 建设;同时,14nm 及以下先进工艺技术研发是公司“先进及成熟工艺研发项目 储备资金”的重要投入方向。

先进制程市场空间不断提升为项目未来保驾护航。集成电路产业是按“摩 尔定律”快速进步的产业,终端应用的不断升级和多样化推动着工艺技术的持续 进步。先进技术节点是未来集成电路晶圆代工行业发展的主要驱动力。14 纳米 及以下先进工艺主要应用于 5G、人工智能、智能驾驶、高速运算等新兴领域, 未来发展前景广阔。随着相关应用领域持续发展,先进工艺的市场需求将持续上 升,市场份额将不断扩大,成为集成电路晶圆代工市场新的增长点。

公司具备 14nm 以下先进工艺研发所需要的技术基础与资金实力。中国大 陆目前尚无企业具备 14nm 以下先进工艺的量产能力。公司已连续多年投入 FinFET 工艺技术研发,目前公司第一代 14nmFinFET 技术已进入量产阶段,与 第一代 FinFET 技术中的 14nm 相比较,公司预计第二代 FinFET 技术有望在性能上提高约 20%,功耗降低约 60%。14nm 及以下先进工艺主要应用于 5G、人 工智能、智能驾驶、高速运算等新兴领域,未来发展前景广阔。随着相关应用领 域持续发展,先进工艺的市场需求将持续上升,市场份额将不断扩大,成为集成 电路晶圆代工市场新的增长点。

科创板上市融资能力进一步提升,巩固竞争优势。公司回归科创板上市将 拓宽融资渠道,提升融资能力,为先进制程研发和扩产保驾护航,有助于提升我 国芯片设计企业芯片供应的稳定性,推动半导体产业链快速发展。该次募集资金 投资项目有助于进一步拓宽公司主营业务,扩大先进工艺产能规模,提升公司在 晶圆代工行业的市场地位和核心竞争力;同时,募投项目的顺利实施将进一步增 强公司的研发实力,推动工艺技术水平升级换代与新产品推广,丰富成熟工艺技 术平台,更好地满足未来市场需求。

免责声明:本文为转载,非本网原创内容,不代表本网观点。其原创性以及文中陈述文字和内容未经本站证实,对本文以及其中全部或者部分内容、文字的真实性、完整性、及时性本站不作任何保证或承诺,请读者仅作参考,并请自行核实相关内容。